愛知工業大学

研究者情報データベース

日本語 English

TOP
所属別検索
キーワード検索
研究分野別検索
条件指定検索

愛知工業大学
ホームページ

岩田 博之 (イワタ ヒロユキ,IWATA Hiroyuki)

基本情報 研究分野 研究業績 教育業績 運営業績 社会貢献業績

 

書籍等出版物
No.タイトル, 著者(翻訳者), 出版社, 出版年月, ISBN, URL 
1
電気回路Ⅰ及び演習, 森正和, 岩田博之, 名大生協印刷部, 2014年09月, ,  

 

論文
No.論文タイトル, 著者, 誌名(出版物名), 巻( 号), 開始ページ- 終了ページ, 出版年月(日), DOI, URL 
1
Whereabouts of missing atoms in a laser-injected Si (part IV): interaction of plasma Si with dislocations in a laser-injected Si, Hiroyasu Saka,Hiroyuki Iwata, Philosophical Magazine, 104( 1), 55- 67, 2024年, https://doi.org/10.1080/14786435.2023.2283115,  
2
Macroscopic Study on the Behavior of Spillover Hydrogen Atoms, Hiroyuki Itoi,Satoshi Kameoka,Chika Matsuoka,Yuka Goto,Masahiro Miyaji,Hayato Ohmi,Shunpei Miyake,Takafumi Ishii,Hiroyuki Iwata,Yoshimi Ohzawa, Journal of Physical Chemistry C, 127( 30), 14723- 14732, 2023年08月03日, https://doi.org/10.1021/acs.jpcc.3c02037,  
3
Electrochemical Oxidation of Anthracene to Anthraquinone inside the Nanopores of Activated Carbon: Implications for Electrochemical Capacitors, Hiroyuki Itoi,Kazuki Takagi,Takanori Usami,Yuto Nagai,Hayato Suzuki,Chika Matsuoka,Hiroyuki Iwata,Yoshimi Ohzawa, ACS Applied Nano Materials, 6( 13), 11541- 11552, 2023年07月14日, https://doi.org/10.1021/acsanm.3c01565,  
4
Whereabouts of missing atoms in a laser-injected Si (Part III), Hiroyasu Saka,Hiroyuki Iwata,Makoto Takagi, Philosophical Magazine, 103( 14), 1345- 1359, 2023年, https://doi.org/10.1080/14786435.2023.2211808,  
5
Synthesis of Polynorbornadiene within the Pores of Activated Carbons: Effects on EDLC and Hydrogen Adsorption Performances, Hiroyuki Itoi,Ryutaro Suzuki,Masahiro Miyaji,Miku Matsuura,Kazuki Takagi,Yuka Goto,Satoshi Kameoka,Yuto Nagai,Takanori Usami,Yuriho Adachi,Takafumi Ishii,Hiroyuki Iwata,Yoshimi Ohzawa, Langmuir, 38( 39), 12024- 12034, 2022年10月04日, https://doi.org/10.1021/acs.langmuir.2c01844,  
6
Metal-Free Homocoupling of Pyrene inside the Pores of Mesoporous Carbons via Electrochemical Oxidation: Application for Electrochemical Capacitors, Hiroyuki Itoi,Kazuki Takagi,Hayato Ohmi,Takanori Usami,Yuto Nagai,Chika Matsuoka,Ryutaro Suzuki,Shinichi Kugimiya,Hiroyuki Iwata,Yoshimi Ohzawa, ACS Omega, 7( 39), 35245- 35255, 2022年10月04日, https://doi.org/10.1021/acsomega.2c04511,  
7
Utilization of starch and cellulose toward facile synthesis of high surface area zeolite-templated carbon, Hiroyuki Itoi,Chika Matsuoka,Rikuto Hirade,Ginga Saeki,Sakurako Sugiyama,Keita Morishita,Yuto Kasai,Hiroyuki Iwata,Yoshimi Ohzawa, Carbon Trends, 9,   , 2022年10月, https://doi.org/10.1016/j.cartre.2022.100228,  
8
Facile Synthesis of High Surface Area Zeolite-Templated Carbon from Sugars and Propylene, Hiroyuki Itoi,Rikuto Hirade,Chika Matsuoka,Yuto Kasai,Keita Morishita,Yuka Gotoh,Hiroyuki Iwata,Yoshimi Ohzawa, ACS Sustainable Chemistry and Engineering, 10( 33), 10827- 10838, 2022年08月22日, https://doi.org/10.1021/acssuschemeng.2c01872,  
9
Facile synthesis of high surface area zeolite-templated carbons using divinylbenzene and propylene as carbon sources, Hiroyuki Itoi,Yuto Kasai,Keita Morishita,Ryutaro Suzuki,Yuka Gotoh,Chika Matsuoka,Masahiro Miyaji,Rikuto Hirade,Yuichiro Tanabe,Hiroyuki Iwata,Yoshimi Ohzawa, Microporous and Mesoporous Materials, 326,   , 2021年10月, https://doi.org/10.1016/j.micromeso.2021.111378,  
10
Hybridization of a Polymer inside the Pores of Activated Carbon and Pore Structural Characterization, Hiroyuki Itoi,Ryutaro Suzuki,Masahiro Miyaji,Miku Matsuura,Kazuki Takagi,Yuichiro Tanabe,Yuka Goto,Satoshi Kameoka,Shinichi Kugimiya,Hiroyuki Iwata,Yoshimi Ohzawa, ACS Applied Polymer Materials, 3( 7), 3603- 3611, 2021年07月09日, https://doi.org/10.1021/acsapm.1c00498,  
11
Study of the pore size effect on the charge storage of hydrous RuO<inf>2</inf> nanoparticles supported within the pores of activated carbon, Hiroyuki Itoi,Miho Ito,Yuto Kasai,Yuichiro Tanabe,Ryutaro Suzuki,Hideyuki Hasegawa,Masahiro Miyaji,Hiroyuki Iwata,Yoshimi Ohzawa,Atsushi Beniya,Shougo Higashi, Solid State Sciences, 111,   , 2021年01月, https://doi.org/10.1016/j.solidstatesciences.2020.106472,  
12
Study of the mesopore size effect on the electrochemical capacitor behaviors of mesoporous carbon/quinone derivative hybrids, Hiroyuki Itoi,Shunsuke Kotani,Yuichiro Tanabe,Yuto Kasai,Ryutaro Suzuki,Masahiro Miyaji,Hiroyuki Iwata,Yoshimi Ohzawa, Electrochimica Acta, 362,   , 2020年12月01日, https://doi.org/10.1016/j.electacta.2020.137119,  
13
Synthesis, photoluminescence, and up-conversion luminescence of niobates co-doped with Er3+ and Yb3+, Masanori HIRANO,Hiroyuki IWATA,Kouki TANAKA, Journal of the Ceramic Society of Japan, 128( 11), 866- 874, 2020年11月01日, https://doi.org/10.2109/jcersj2.20058,  
14
Reversible charge storage of ferrocene-adsorbed activated carbon using ionic liquid electrolytes, Hiroyuki Itoi,Yuto Kasai,Hideyuki Hasegawa,Kentaro Yamamoto,Hiroyuki Iwata,Yoshimi Ohzawa, Chemical Physics Letters, 755,   , 2020年09月16日, https://doi.org/10.1016/j.cplett.2020.137795,  
15
Unusual Redox Behavior of Ruthenocene Confined in the Micropores of Activated Carbon, Hiroyuki Itoi,Takeru Ninomiya,Hideyuki Hasegawa,Shintaro Maki,Akihiro Sakakibara,Ryutaro Suzuki,Yuto Kasai,Hiroyuki Iwata,Daiju Matsumura,Mao Ohwada,Hirotomo Nishihara,Yoshimi Ohzawa, Journal of Physical Chemistry C, 124( 28), 15205- 15215, 2020年07月16日, https://doi.org/10.1021/acs.jpcc.0c02965,  
16
Crystallinity and grain distributions of fiber-formed nanostructure on tungsten surface with helium plasma exposure, S. Takamura,H. Iwata,T. Aota,Y. Uesugi,S. Maenaka,K. Fujita,M. Sakao,M. Yamashita, Materialia, 9,   , 2020年03月, https://doi.org/10.1016/j.mtla.2019.100564,  
17
Black silicon with nanostructured surface formed by low energy helium plasma irradiation, S. Takamura,T. Aota,H. Iwata,S. Maenaka,K. Fujita,Y. Kikuchi,Y. Uesugi, Applied Surface Science, 487,  755- 765, 2019年09月01日, https://doi.org/10.1016/j.apsusc.2019.05.034,  
18
Whereabouts of missing atoms in a laser-injected Si: Part 1, Daisuke Kawaguchi,Hiroyuki Iwata,Hiroyasu Saka, Philosophical Magazine, 99( 15), 1849- 1865, 2019年08月03日, https://doi.org/10.1080/14786435.2019.1605216,  
19
Templated Synthesis of Ultrafine Polyaniline Fibers and Their Transfer to Carbon Substrates for Highly Rapid Redox Reactions, Hiroyuki Itoi,Kento Shimomura,Hideyuki Hasegawa,Naoya Nomura,Yuina Ohta,Hiroyuki Iwata,Yasuto Hoshikawa,Yoshimi Ohzawa, Advanced Materials Interfaces, 6( 7),  , 2019年04月, https://doi.org/10.1002/admi.201801799,  
20
A dry chemical method for dispersing Ir nanoparticles in the pores of activated carbon and their X-ray absorption spectroscopy analysis, Hiroyuki Itoi,Takashi Tachikawa,Ryutaro Suzuki,Hideyuki Hasegawa,Hiroyuki Iwata,Yoshimi Ohzawa,Atsushi Beniya,Shougo Higashi, New Journal of Chemistry, 43( 46), 17927- 17931, 2019年, https://doi.org/10.1039/c9nj04659c,  
21
Study of the pore structure and size effects on the electrochemical capacitor behaviors of porous carbon/quinone derivative hybrids, Hiroyuki Itoi,Shuka Tazawa,Hideyuki Hasegawa,Yuichiro Tanabe,Hiroyuki Iwata,Yoshimi Ohzawa, RSC Advances, 9( 47), 27602- 27614, 2019年, https://doi.org/10.1039/c9ra05225a,  
22
Electrochemical polymerization of pyrene and aniline exclusively inside the pores of activated carbon for high-performance asymmetric electrochemical capacitors, Hiroyuki Itoi,Shintaro Maki,Takeru Ninomiya,Hideyuki Hasegawa,Hidenori Matsufusa,Shinya Hayashi,Hiroyuki Iwata,Yoshimi Ohzawa, Nanoscale, 10( 20), 9760- 9772, 2018年05月28日, https://doi.org/10.1039/c8nr01529e,  
23
Thermal stability of laser-induced modified volumes in Si as studied by in situ and ex situ heating experiments, Hiroyasu Saka,Hiroyuki Iwata,Daisuke Kawaguchi, Microscopy, 67( 2), 112- 120, 2018年04月, https://doi.org/10.1093/jmicro/dfy008,  
24
Non-polymeric hybridization of a TEMPO derivative with activated carbon for high-energy-density aqueous electrochemical capacitor electrodes, Hiroyuki Itoi,Hideyuki Hasegawa,Hiroyuki Iwata,Yoshimi Ohzawa, Sustainable Energy and Fuels, 2( 3), 558- 565, 2018年03月, https://doi.org/10.1039/c7se00541e,  
25
Crystal structures of high-pressure phases formed in Si by laser irradiation, Hiroyuki Iwata,Daisuke Kawaguchi,Hiroyasu Saka, Microscopy, 67( 1), 30- 36, 2018年02月01日, https://doi.org/10.1093/jmicro/dfx128,  
26
Hiroyuki Iwata, Daisuke Kawaguchi; Hiroyasu Saka, Crystal structures of high-pressure phases formed in Si by laser irradiation,, 岩田博之, Microscopy , 67,  30- 36, 2018年, ,  
27
Thermal stability of laser-induced modified volumes in Si as studied by in situ and ex situ heating experiments, Microscopy,, Hiroyasu Saka, Hiroyuki Iwata, Daisuke Kawaguchi ,  Microscopy , 67 ,  112- 120, 2018年, ,  
28
Electron microscopy of voids in Si formed by permeable pulse laser irradiation, Hiroyuki Iwata,Daisuke Kawaguchi,Hiroyasu Saka, Microscopy, 66( 5), 328- 336, 2017年10月01日, https://doi.org/10.1093/jmicro/dfx024,  
29
Annealing effect on threading dislocations in a GaN grown on Si substrate, H. Iwata,H. Kobayashi,T. Kamiya,R. Kamei,H. Saka,N. Sawaki,M. Irie,Y. Honda,H. Amano, Journal of Crystal Growth, 468,  835- 838, 2017年06月15日, https://doi.org/10.1016/j.jcrysgro.2017.01.001,  
30
Resolving individual Shockley partials of a dissociated dislocation by STEM, Hiroyuki Iwata,Hiroyasu Saka, Philosophical Magazine Letters, 97( 2), 74- 81, 2017年02月01日, https://doi.org/10.1080/09500839.2017.1282634,  
31
Solvent-free Preparation of Electrochemical Capacitor Electrodes Using Metal-free Redox Organic Compounds, Hiroyuki Itoi,Yuka Yasue,Keita Suda,Seiya Katoh,Hideyuki Hasegawa,Shinya Hayashi,Masanao Mitsuoka,Hiroyuki Iwata,Yoshimi Ohzawa, ACS Sustainable Chemistry and Engineering, 5( 1), 556- 562, 2017年01月03日, https://doi.org/10.1021/acssuschemeng.6b01947,  
32
Electron microscopy of voids in Si formed by permeable pulse laser irradiation,, Hiroyuki Iwata, Daisuke Kawaguchi, Hiroyasu Saka, , Microscopy, 66,  328- 336, 2017年, ,  
33
Resolving individual Shockley partials of a dissociated dislocation by STEM,, Hiroyuki Iwata, Hiroyasu Saka, , Philosophical magazine letters, , 97, ,  74- 81, 2017年, ,  
34
Annealing effect on threading dislocations in a GaN grown on Si substrate, H. Iwata, H. Kobayashi, T. Kamiya, R. Kamei, H. Saka, N. Sawaki, M. Irie, Y. Honda, H. Amano , Journal of Crystal Growth, 468, pp.835-838, 2017, 468,  835- 838, 2017年, ,  
35
Temperature dependence of the stacking fault energy of glide set-dissociated dislocations in Si, Hiroyuki Iwata,Muneharu Kutsuna,Tomoko Okuno,Hiroyasu Saka, Philosophical Magazine Letters, 96( 7), 265- 272, 2016年07月02日, https://doi.org/10.1080/09500839.2016.1198052,  
36
Temperature dependence of the stacking fault energy of glide set-dissociated dislocations in Si,, Hiroyuki Iwata, Muneharu Kutsuna, Tomoko Okuno, Hiroyasu Saka, , Philosophical magazine letters, , 96, ,  265- 272, 2016年, ,  
37
シリコン単結晶間のマイクロトライボロジー, 高木誠, 水流一平, 岩田博之, 坂公恭, 日本金属学会誌、79巻pp504-510, ,   , 2015年07月, ,  
38
水中レーザピーニングによってSi 中に形成された欠陥組織の透過電子顕微鏡観察・, 岩田博之, 沓名宗春, 坂公恭, 日本金属学会誌、第79巻、pp308-314, ,   , 2015年04月, ,  
39
岩田博之,沓名宗春,坂公恭,水中レーザピーニングによってSi 中に形成された欠陥組織の透過電子顕微鏡観察, 岩田博之, 日本金属学会誌, 79,  308- 314, 2015年, ,  
40
イオン注入に立脚した難加工性材料の超精密剥離技術の開発, 岩田博之, 愛知工業大学総合技術研究所総合報告、第16号、pp.19-22., ,   , 2014年09月, ,  
41
Si 基板上に成長した半極性GaNの欠陥構造評価, 澤木 宣彦, 中北 太平, 伊藤 翔梧, 岩田 博之, 谷川 智之, 本田 善央, 山口 雅史,天野 浩, 愛知工業大学総合技術研究所総合報告、第15号、pp.27-30., ,   , 2013年09月, ,  
42
原子間力顕微鏡(AFM)と透過型電子顕微鏡(TEM)を用いたシリコン単結晶のマイクロ摩耗プロセスの解明, 高木 誠, 松室 昭仁, 岩田 博之, 愛知工業大学総合技術研究所総合報告、第15号、pp.67-71., ,   , 2013年09月, ,  
43
シリコン基板上GaNの高分解TEM観察, 澤木 宣彦, 岩田 博之, 川北 将吾, 本田 善央, 愛知工業大学総合技術研究所総合報告、第14号、pp.23-26. , ,   , 2012年09月, ,  
44
原子的構造解析システムの現状と課題(II), 岩田 博之, 高木 誠, 平野 正典, 山田 英介, 渡辺 藤雄, 落合 鎮康, 澤木 宣彦, 愛知工業大学総合技術研究所総合報告、第14号、pp.15-18. , ,   , 2012年09月, ,  
45
カーボンナノチューブを用いた高アスペクト比ナノ加工とTEM内その場観察による加工原理の解明, 高木 誠, 松室 昭仁, 岩田 博之, 愛知工業大学総合技術研究所総合報告、第13号、pp.69-72., ,   , 2011年09月, ,  
46
シリコン基板上窒化物半導体の高品質化に関する研究, 澤木 宣彦, 岩田 博之, 川北 将吾, 本田 善央, 愛知工業大学総合技術研究所総合報告、第13号、pp.69-71., ,   , 2011年09月, ,  
47
SPMを用いたSi単結晶のナノ加工と表面の構造変化, 高木 誠, 松室 昭仁, 岩田 博之,, 愛知工業大学総合技術研究所総合報告、第12号、pp.63-67., ,   , 2010年09月, ,  
48
TEM and HRTEM observations of microstructural change of silicon single crystal scratched under very small loading forces by AFM, Makoto Takagi,Kenji Onodera,Akihito Matsumuro,Hiroyuki Iwata,Katsuhiro Sasaki,Hiroyasu Saka, Materials Transactions, 49( 6), 1298- 1302, 2008年06月, https://doi.org/10.2320/matertrans.MRA2008017,  
49
Effect of Impurity Co-implantation on Hydrogen Surface Blistering , H.Iwata, M.Takagi, Y.Tokuda, International Journal of Advanced Microscopy and Theoretical Calculations, Vol.1, pp262-263 , ,   , 2008年06月, ,  
50
TEM and HRTEM Observations of Microstructural Change of Silicon Single Crystal Scratched under Very Small Loading Forces by AFM, , Makoto Takagi, Kenji Onodera, Akihito Matsumuro, Hiroyuki Iwata, Katsuhiro Sasaki and Hiroyasu Saka, Materials Transactions, 49-6, pp1298-1302, ,   , 2008年05月, ,  
51
SPMを用いたSi単結晶のナノ加工と表面の構造変化, 吉田浩也, 松室昭仁, 岩田博之, 高木誠, 精密工学会誌, Vol.73, No.10, pp.1149-1153, ,   , 2007年10月, ,  
52
極微小電流計測型ナノプローブその場観察システムの開発, 岩田博之, 奥田東, 愛知工業大学総合技術研究所総合報告、第9号、pp.47-52. , ,   , 2007年08月, ,  
53
RBS/Cを用いた水素イオン注入欠陥の評価、, 岩田博之, 清水孝延, 横井久人, 石神龍哉, 伊藤慶文, 徳田豊, 高木誠, 愛知工業大学総合技術研究所総合報告、第8号、pp.77-80. , ,   , 2006年06月, ,  
54
Tensile properties of an Al-11 mass%Si alloy at elevated temperatures processed by rotary-die equal-channel angular pressing, Aibin Ma,Makoto Takagi,Naobumi Saito,Hiroyuki Iwata,Yoshinori Nishida,Kazutaka Suzuki,Ichinori Shigematsu, Materials Science and Engineering: A, 408( 1-2), 147- 153, 2005年11月05日, https://doi.org/10.1016/j.msea.2005.07.064,  
55
Tensile property of an Al-11 mass%Si alloy at elevated temperatures processed by rotary-die equal-channel angular pressing, , Aibin Ma, Makoto Takagi, Naobumi Saito, Hiroyuki Iwata, Yoshinori Nishida, Kazutaka Suzuki, Ichinori Shigematsu, Material Science and Engineering, A408 pp.147-153, , ,   , 2005年10月, ,  
56
Surface fluorination and electrochemical behavior of petroleum cokes graphitized at medium and high temperatures for secondary lithium battery, Jianling Li,Kazuhisa Naga,Yoshimi Ohzawa,Tsuyoshi Nakajima,Hiroyuki Iwata, Journal of Fluorine Chemistry, 126( 7), 1028- 1035, 2005年07月, https://doi.org/10.1016/j.jfluchem.2005.03.016,  
57
Impact toughness of an ingot hypereutectic Al-23 mass% Si alloy improved by rotary-die equal-channel angular pressing, , Aibin Ma, Kazutaka Suzuki, Naobumi Saito, Yoshinori Nishida, Makoto Takagi, Ichinose Shigematsu, Hiroyuki Iwata, Material Science and Engineering, A399 pp.181-189,, ,   , 2005年07月, ,  
58
Impact toughness of an ingot hypereutectic Al-23 mass% Si alloy improved by rotary-die equal-channel angular pressing, Aibin Ma,Kazutaka Suzuki,Naobumi Saito,Yoshinori Nishida,Makoto Takagi,Ichinori Shigematsu,Hiroyuki Iwata, Materials Science and Engineering: A, 399( 1-2), 181- 189, 2005年06月15日, https://doi.org/10.1016/j.msea.2005.03.009,  
59
Deep-level transient spectroscopy studies of filling behavior of a hydrogen-related metastable defect in n-type silicon, Yutaka Tokuda,Wakana Nakamura,Koji Nakashima,Hiroyuki Iwata, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 44( 6 A), 3789- 3792, 2005年06月, https://doi.org/10.1143/JJAP.44.3789,  
60
Effect of severe plastic deformation on tensile properties of a cast Al-11 mass% Si alloy, Aibin Ma,Naobumi Saito,Makoto Takagi,Yoshinori Nishida,Hiroyuki Iwata,Kazutaka Suzuki,Ichinori Shigematsu,Akira Watazu, Materials Science and Engineering: A, 395( 1-2), 70- 76, 2005年03月25日, https://doi.org/10.1016/j.msea.2004.12.038,  
61
Impact toughness of an ultrafine-grained Al-11 mass%Si alloy processed by rotary-die equal-channel angular pressing, Aibin Ma,Kazutaka Suzuki,Yoshinori Nishida,Naobumi Saito,Ichinori Shigematsu,Makoto Takagi,Hiroyuki Iwata,Akira Watazu,Toru Imura, Acta Materialia, 53( 1), 211- 220, 2005年01月03日, https://doi.org/10.1016/j.actamat.2004.09.017,  
62
TEM observation of microstructural change of silicon single crystal caused by scratching tests using SPM, M. Takagi,K. Onodera,H. Iwata,T. Imura,K. Sasaki,H. Saka, Materials Research Society Symposium Proceedings, 841,  247- 252, 2005年, ,  
63
Direct formation of anatase (TiO<inf>2</inf>)/silica (SiO<inf>2</inf>) composite nanoparticles with high phase stability of 1300 °C from acidic solution by hydrolysis under hydrothermal condition, Masanori Hirano,Keisuke Ota,Hiroyuki Iwata, Chemistry of Materials, 16( 19), 3725- 3732, 2004年09月21日, https://doi.org/10.1021/cm040055q,  
64
Transformation behavior of metastable defects induced in n-type silicon by hydrogen implantation, Y. Tokuda,T. Sugiyama,S. Kanazawa,H. Iwata,M. Ishiko, EPJ Applied Physics, 27( 1-3), 111- 114, 2004年07月, https://doi.org/10.1051/epjap:2004114,  
65
Effect of oxygen impurity on the production of room-temperature stable metastable defects in n-type silicon implanted with hydrogen ions at 88 K, Yutaka Tokuda,Takahide Sugiyama,Hiroyuki Iwata,Masayasu Ishikko, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 43( 6 A), 3376- 3377, 2004年06月, https://doi.org/10.1143/JJAP.43.3376,  
66
Effect of Heat Treatment on Impact Toughness of Aluminum Silicon Eutectic Alloy Processed by Rotary-Die Equal-Channel Angular Pressing, Aibin Ma,Naobumi Saito,Ichinori Shigematsu,Kazutaka Suzuki,Makoto Takagi,Yoshinori Nishida,Hiroyuki Iwata,Toru Imura, Materials Transactions, 45( 2), 399- 402, 2004年02月, https://doi.org/10.2320/matertrans.45.399,  
67
Direct formation of iron(III)-doped titanium oxide (anatase) by thermal hydrolysis and its structural property, Masanori Hirano,Toyoko Joji,Michio Inagaki,Hiroyuki Iwata, Journal of the American Ceramic Society, 87( 1), 35- 41, 2004年01月, https://doi.org/10.1111/j.1151-2916.2004.tb19941.x,  
68
Production of Metastable Defects in n-Type Silicon by Hydrogen Implantation at 88 K, Yutaka Tokuda,Takahide Sugiyama,Shigeki Kanazawa,Hiroyuki Iwata,Masayasu Ishiko, Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 42( 11), 6833- 6834, 2003年11月, https://doi.org/10.1143/jjap.42.6833,  
69
Partial annealing of defects in boron-implanted p-type silicon by hydrogen implantation, Yutaka Tokuda,Hiroyuki Iwata, Materials Research Society Symposium - Proceedings, 719,  341- 346, 2002年, https://doi.org/10.1557/proc-719-f8.6,  
70
Effect of crystal orientation on microwear of Si single crystal and the wear structure, M. Takagi,N. Arima,H. Iwata,T. Imura,K. Sasaki,H. Saka, Materials Research Society Symposium - Proceedings, 750,  135- 140, 2002年, https://doi.org/10.1557/proc-750-y8.34,  
71
Surface Structure And Electrochemical Characteristics Of Graphite Fluorinated By Elemental Fluorine And Plasma Treatment Using Cf 4, Tsuyoshi Nakajima,Vinay Gupta,Yoshimi Ohzawa,Hiroyuki Iwata,Alain Tressaud,Etinne Durand, Molecular Crystals and Liquid Crystals, 388( 1), 103- 108, 2002年, https://doi.org/10.1080/713738879,  
72
Surface structure and electrochemical characteristics of graphite fluorinated by elemental fluorine and plasma treatment using CF<inf>4</inf>, Tsuyoshi Nakajima,Vinay Gupta,Yoshimi Ohzawa,Hiroyuki Iwata,Alain Tressaud,Etine Durand, Molecular Crystals and Liquid Crystals Science and Technology Section A: Molecular Crystals and Liquid Crystals, 388( PART 3),  , 2002年, https://doi.org/10.1080/10587250290113943,  
73
Effect of fluoride additives on the corrosion of aluminum for lithium ion batteries, Tsuyoshi Nakajima,Mitsuhiro Mori,Vinay Gupta,Yoshimi Ohzawa,Hiroyuki Iwata, Solid State Sciences, 4( 11-12), 1385- 1394, 2002年, https://doi.org/10.1016/S1293-2558(02)00026-2,  
74
Electrochemical properties and structures of surface-fluorinated graphite for the lithium ion secondary battery, Tsuyoshi Nakajima,Vinay Gupta,Yoshimi Ohzawa,Hiroyuki Iwata,Alain Tressaud,Etienne Durand, Journal of Fluorine Chemistry, 114( 2), 209- 214, 2002年, https://doi.org/10.1016/S0022-1139(02)00028-3,  
75
Electrochemical characteristics and structures of surface-fluorinated graphites with different particle sizes for lithium ion secondary batteries, Vinay Gupta,Tsuyoshi Nakajima,Yoshimi Ohzawa,Hiroyuki Iwata, Journal of Fluorine Chemistry, 112( 2), 233- 240, 2001年12月28日, https://doi.org/10.1016/S0022-1139(01)00517-6,  
76
Formation of graphite crystals at 1000-1200°C from mixtures of vinyl polymers with metal oxides, Michio Inagaki,Keiko Fujita,Yumihiko Takeuchi,Kyouichi Oshida,Hiroyuki Iwata,Hidetaka Konno, Carbon, 39( 6), 921- 929, 2001年, https://doi.org/10.1016/S0008-6223(00)00210-4,  
77
Exfoliation of carbon fibers through intercalation compounds synthesized electrochemically, M. Toyoda,A. Shimizu,H. Iwata,M. Inagaki, Carbon, 39( 11), 1697- 1707, 2001年, https://doi.org/10.1016/S0008-6223(00)00293-1,  
78
Observation of high dose H+ implanted damaged layer, Hiroyuki Iwata,Makoto Takagi,Yutaka Tokuda,Toru Imura, Materials Science Research International, 6( 3), 198- 202, 2000年09月, ,  
79
Analysis of platelet distribution in H ion-implanted silicon, Hiroyuki Iwata,Makoto Takagi,Yutaka Tokuda,Toru Imura, Journal of Crystal Growth, 210( 1), 94- 97, 2000年03月01日, https://doi.org/10.1016/S0022-0248(99)00654-5,  
80
Preparation and spherical agglomeration of crystalline cerium(IV) oxide nanoparticles by thermal hydrolysis, Masanori Hirano,Yuji Fukuda,Hiroyuki Iwata,Yuji Hotta,Michio Inagaki, Journal of the American Ceramic Society, 83( 5), 1287- 1289, 2000年, https://doi.org/10.1111/j.1151-2916.2000.tb01371.x,  
81
Observation of High Dose H+ Implanted Damaged Layer, Hiroyuki Iwata,Toru Imura,Makoto Takagi,Yutaka Tokuda, Zairyo/Journal of the Society of Materials Science, Japan, 49,  198- 202, 2000年, https://doi.org/10.2472/jsms.49.9Appendix_198,  
82
Microstructure of superplastic Zr<inf>65</inf>Al<inf>10</inf>Ni<inf>10</inf>Cu<inf>15</inf> metallic glass, Makoto Takagi,Hiroyuki Iwata,Toru Imura,Yoshihiko Soga,Yoshihito Kawamura,Akihisa Inoue, Materials Transactions, JIM, 40( 8), 804- 808, 1999年08月, https://doi.org/10.2320/matertrans1989.40.804,  
83
Effects of H+-implantation on electron traps in n-type Si induced by P+ pre-implantation, Akira Ito,Hiroyuki Iwata,Yutaka Tokuda, Materials Research Society Symposium - Proceedings, 442,  281- 286, 1997年, ,  
84
Shallow donor formation in hydrogen-implanted silicon, Yutaka Tokuda,Hiroyuki Iwata,Akira Ito, Materials Research Society Symposium - Proceedings, 442,  205- 210, 1997年, ,  
85
Hydrogen-implantation-induced activation in phosphorus-implanted silicon, Y. Tokuda,Y. Hasebe,A. Ito,H. Iwata,A. Usami,A. Terashima,H. Ohshima,T. Hattori, Semiconductor Science and Technology, 11( 12), 1821- 1825, 1996年12月, https://doi.org/10.1088/0268-1242/11/12/009,  
86
Use of functionally gradient material in a temperature measuring element, Shigeo Watanabe,Katsuki Aoki,Hiroyuki Iwata,Niichi Hayashi,Asao Ohashi,Yukihiro Kinoshita,Yoshiyuki Uchida,David Dykes,Gerard Touchard, Proceedings of the Symposium on Electrical Insulating Materials, ,  129- 132, 1995年, ,  
87
Characteristics of E-field near indirect esd events, Hiroyuki Iwata,Yasuo Akao, IEEE International Symposium on Electromagnetic Compatibility, ,  26- 27, 1993年, ,  

 

MISC
No.MISCタイトル, 著者, 誌名, 巻( 号), 開始ページ- 終了ページ, 出版年月(日), URL 
1
透過性赤外線レーザ注入によるSi中のボイドと亀裂の発生機構に関する電子顕微鏡観察, 岩田 博之,坂 公恭, 日本金属学会誌, advpub,   , 2024年,  
2
内部集光型レーザダイシングを用いたTEM試料作製の前処理手法, 岩田博之,岩田博之,高木誠,坂公恭, 顕微鏡, 56( 3), 139- 142, 2021年12月30日,  
3
Si単結晶間のマイクロトライボロジー, 沖拓真,高木誠,岩田博之,坂公恭, 日本金属学会講演大会(Web), 169th,   , 2021年,  
4
パルスレーザ集光により生ずるサファイヤ結晶欠陥の性状と加工効率, 岩田博之,河口大祐,河口大祐,坂公恭, 愛知工業大学総合技術研究所研究報告, 23( 23), 64- 65, 2021年,  
5
シリコン単結晶のマイクロトライボロジーに及ぼす温度の影響, 高木誠,岩田博之,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 23),  , 2021年,  
6
回折格子分光器のゴースト, 澤木宣彦,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 23),  , 2021年,  
7
Si単結晶のマイクロトライボロジーに及ぼす雰囲気と温度の影響, 高木誠,長江昂亮,木内亮介,岩田博之,坂公恭, 日本金属学会誌, 84( 9), 304- 309, 2020年09月01日,  
8
ワイドギャップ半導体の光学的特性評価, 澤木宣彦,岩田博之,出町雅彦,刑部建太郎, 愛知工業大学総合技術研究所研究報告, ( 22),  , 2020年,  
9
STEM-Ronchigramを利用するLACBEDの開発, 岩田博之,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 22),  , 2020年,  
10
機械研磨加工がシリコン単結晶の微構造に及ぼす影響, 高木誠,岩田博之,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 22),  , 2020年,  
11
パルスレーザ照射により生ずるSi中ボイド近傍の性状, 岩田博之,河口大祐,河口大祐,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 21), 92- 94, 2019年12月,  
12
Siに集光されたパルスレーザ誘起改質層の厚膜TEMイメージング, 岩田博之,河口大祐,坂公恭, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), 80th,  1759- 1759, 2019年09月04日,  
13
ヘリウム・プラズマ照射によってタングステン表面に形成される繊維状ナノ構造の結晶性, 高村秀一,高村秀一,岩田博之,青田達也,上杉喜彦,前中志郎,藤田和宣, 愛知工業大学研究報告(Web), 54( 54), 77- 83, 2019年03月31日,  
14
ワイドギャップ半導体の光学特性評価, 澤木宣彦,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 21),  , 2019年,  
15
質量保存則の不成立の可視化, 坂公恭,岩田博之,岩田博之,河口大祐, 名古屋大学電子光学研究のあゆみ, ( 30),  , 2019年,  
16
切断加工したシリコン単結晶の強度に及ぼす後加工の効果, 高木誠,岩田博之,坂公恭,河口大祐, 愛知工業大学総合技術研究所研究報告, ( 21),  , 2019年,  
17
レーザステルスダイシング加工によリ生じたSi結晶欠陥のTEM解析, 岩田博之,坂公恭,河口大祐, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), 79th,  792- 792, 2018年09月05日,  
18
レーザステルスダイシング加工によリ生じたSi結晶欠陥のSEM解析, 河口大祐,岩田博之,坂公恭, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), 79th,  793- 793, 2018年09月05日,  
19
シリコン単結晶のトライボロジーと微構造変化, 高木誠,岩田博之,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 20), 106- 108, 2018年09月,  
20
Surface nanostructure formation and optical properties of black silicon obtained with He plasma exposure: ヘリウムプラズマ照射によって得られた黒色シリコンの表面ナノ構造形成と光学特性, , , 53,  59- 69, 2018年03月31日,  
21
ワイドギャップ半導体の光学的特性評価, 澤木宣彦,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 20),  , 2018年,  
22
ダイヤモンドの破壊現象の解明, 堀坂卓也,高木誠,岩田博之,坂公恭, 日本金属学会講演概要(CD-ROM), 163rd,   , 2018年,  
23
マイクロトライボロジーに及ぼす環境と材質の影響, 長江昂亮,高木誠,岩田博之,坂公恭, 日本金属学会講演概要(CD-ROM), 163rd,   , 2018年,  
24
内部集光型レーザダイシングを用いたイオンスライサーのための前処理手法, 岩田博之,高木誠,坂公恭,河口大祐, 愛知工業大学総合技術研究所研究報告, ( 20),  , 2018年,  
25
透過性パルスレーザ加工により生じた半導体結晶欠陥の解析, 岩田博之,坂公恭,河口大祐, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), 78th,  679- 679, 2017年08月25日,  
26
透過性パルスレーザにより生じた半導体結晶欠陥の解析, 岩田博之,坂公恭,河口大祐, 愛知工業大学総合技術研究所研究報告, ( 19),  , 2017年,  
27
シリコン単結晶間のマイクロトライボロジー, 高木誠,岩田博之,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 19),  , 2017年,  
28
レーザ照射によるSi中のボイドの形成~質量保存則の不成立の可視化?, 坂公恭,岩田博之,河口大祐, 日本金属学会講演概要(CD-ROM), 161st,   , 2017年,  
29
超音速アーク加熱気流下での炭化珪素の耐熱実験, 北川一敬,高木誠,岩田博之,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 19),  , 2017年,  
30
GaNのフォノンスペクトル評価, 澤木宣彦,岩田博之,安亨洙, 愛知工業大学総合技術研究所研究報告, ( 19),  , 2017年,  
31
SiCウェハ内部のパルスレーザ照射痕の観察, 岩田博之,河口大祐,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 18), 37- 41, 2016年09月,  
32
内部集光型レーザダイシングで加工したSi単結晶の機械的性質, 馬場亮輔,高木誠,岩田博之,坂公恭,河口大祐, 日本金属学会講演概要(CD-ROM), 159th,   , 2016年,  
33
局所加熱プロセスを与えた水素イオン注入剥離欠陥の観察, 岩田博之,石神龍哉, 若狭湾エネルギー研究センター研究年報, 18,   , 2016年,  
34
Si基板上GaNの貫通転位への急速熱処理効果, 小林宙主,岩田博之,坂公恭,澤木宣彦,入江将嗣,本田善央,天野浩,安亨洙, 愛知工業大学総合技術研究所研究報告, ( 18),  , 2016年,  
35
半導体精密加工のためのレーザ照射シリコンの結晶欠陥評価, 岩田博之,河口大祐,沓名宗春, 愛知工業大学総合技術研究所研究報告, ( 18),  , 2016年,  
36
シリコン単結晶間のマイクロトライボロジー, 高木誠,水流一平,岩田博之,坂公恭, 日本金属学会誌, 79( 10), 504- 510, 2015年10月,  
37
シリコン単結晶の室温における静的曲げ及び疲労, 高木誠,松室昭仁,岩田博之,坂公恭, 愛知工業大学総合技術研究所研究報告, ( 17), 77- 81, 2015年09月,  
38
透過型電子顕微鏡JEM-2010の20年, 岩田博之,高木誠, 愛知工業大学総合技術研究所研究報告, ( 17), 27- 29, 2015年09月,  
39
水中レーザピーニングによって Si 中に形成された欠陥組織の透過電子顕微鏡観察, 岩田博之,沓名宗春,坂公恭, 日本金属学会誌, 79( 6), 308- 314, 2015年06月01日,  
40
Feとの摩擦によるSi単結晶の無歪加工, 森川喜友,高木誠,岩田博之,坂公恭,日影達夫, 日本金属学会講演概要(CD-ROM), 157th,   , 2015年,  
41
Si単結晶の曲げ強度に及ぼす圧痕の影響, 泉地勇生,泉地勇生,高木誠,岩田博之,坂公恭,日影達夫, 日本金属学会講演概要(CD-ROM), 156th,   , 2015年,  
42
Si基板上GaNエピタキシャル膜の欠陥に対する熱処理効果, 小林宙主,岩田博之,澤木宣彦,入江将嗣,本田善央,天野浩, 電気・電子・情報関係学会東海支部連合大会講演論文集(CD-ROM), 2015,   , 2015年,  
43
「窒化物系電子・光デバイスの高度化・低コスト化の研究」, 澤木宣彦,徳田豊,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 17),  , 2015年,  
44
イオン注入に立脚した難加工性材料の超精密剥離技術の開発—Development of Ultra-Precision Exfoliation Technology for Hard-to-Process Materials, 岩田 博之, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 16), 19- 22, 2014年09月,  
45
イオン注入に立脚した難加工性材料の超精密剥離技術の開発, 岩田博之,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 16),  , 2014年,  
46
「窒素化物系電子・光デバイスの高度化・低コスト化の研究」, 澤木宣彦,徳田豊,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 16),  , 2014年,  
47
イオン注入に立脚する表面ナノ加工法の開発, 岩田博之,石神龍哉,安田啓介,高木誠,徳田豊, 若狭湾エネルギー研究センター研究年報, 16,   , 2014年,  
48
室温におけるSi単結晶の疲労, 倉田和也,高木誠,岩田博之,日影達夫,坂公恭, 日本金属学会講演概要(CD-ROM), 155th,   , 2014年,  
49
Si単結晶のマイクロトライボロジー, 水流一平,高木誠,岩田博之,坂公恭, 日本金属学会講演概要(CD-ROM), 155th,   , 2014年,  
50
経年金相学への勧誘-鈴木効果再訪-, 坂公恭,岩田博之, 日本金属学会講演概要(CD-ROM), 155th,   , 2014年,  
51
Si単結晶の曲げおよび疲労挙動, 倉田和也,高木誠,松室昭仁,岩田博之,坂公恭, 精密工学会大会学術講演会講演論文集, 2014,  355- 356, 2014年,  
52
種々の環境下におけるSi単結晶のマイクロトライボロジー, 水流一平,高木誠,松室昭仁,岩田博之,坂公恭, 精密工学会大会学術講演会講演論文集, 2014,  921- 922, 2014年,  
53
原子間力顕微鏡(AFM)と透過型電子顕微鏡(TEM)を用いたシリコン単結晶のマイクロ摩耗プロセスの解明, 高木誠,松室昭仁,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 15), 67- 71, 2013年09月,  
54
イオン注入と局所加熱の組み合わせによる表面ナノ加工法の開発, 岩田博之,石神龍哉,安田啓介,高木誠,徳田豊, 若狭湾エネルギー研究センター研究年報, 15,   , 2013年,  
55
半極性(1-101)GaNにおける積層欠陥の振る舞い, 中北太平,伊藤翔悟,岩田博之,澤木宣彦,本田善央,山口雅史,天野浩, 電気関係学会東海支部連合大会講演論文集(CD-ROM), 2013,   , 2013年,  
56
Si基板上に成長した半極性GaNの欠陥構造評価, 澤木宣彦,中北太平,伊藤翔悟,岩田博之,谷川智之,谷川智之,本田善央,山口雅史,天野浩, 愛知工業大学総合技術研究所研究報告, ( 15),  , 2013年,  
57
原子的構造解析システムの現状と課題(2)—Current Status and Future Agenda in "Atomic Structure Analyzing System", 岩田 博之,高木 誠,平野 正典, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 14), 15- 18, 2012年09月,  
58
シリコン基板上GaNの高分解TEM観察—HR-TEM Analyses of GaN grown on silicon substrate, 澤木 宣彦,岩田 博之,川北 将吾, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 14), 23- 26, 2012年09月,  
59
カーボンナノチューブを用いた高アスペクト比ナノ加工とTEM内その場観察による加工原理の解明—High-Aspect-Ratio Nanofabrication of Carbon Materials Using CNT Probe and TEM in-situ Observations of Their Process, 高木 誠,松室 昭仁,岩田 博之, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 14), 69- 72, 2012年09月,  
60
AFMを用いたSi単結晶のナノトライボロジーに及ぼす環境の影響, 櫻井淳平,松室昭仁,高木誠,岩田博之, 精密工学会大会学術講演会講演論文集, 2012,  869- 870, 2012年,  
61
シリコン基板上GaNの高分解TEM観察, 澤木宣彦,岩田博之,川北将吾,本田善央, 愛知工業大学総合技術研究所研究報告, ( 14),  , 2012年,  
62
カーボンナノチューブを用いた高アスペクト比ナノ加工とTEM内その場観察による加工原理の解明, 高木誠,松室昭仁,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 14),  , 2012年,  
63
Si基板上GaN/AlInNエピタキシャル膜のTEM観察, 伊藤翔悟,中北太平,岩田博之,澤木宣彦,入江将嗣,本田善央,山口雅史,天野浩, 電気関係学会東海支部連合大会講演論文集(CD-ROM), 2012,   , 2012年,  
64
加工Si基板上に成長した(1-101)GaNのTEM評価, 中北太平,伊藤翔悟,岩田博之,澤木宣彦,谷川智之,本田善央,山口雅史,天野浩, 電気関係学会東海支部連合大会講演論文集(CD-ROM), 2012,   , 2012年,  
65
原子的構造解析システムの現状と課題(II), 岩田博之,高木誠,平野正典,山田英介,渡辺藤雄,落合鎮康,澤木宣彦, 愛知工業大学総合技術研究所研究報告, ( 14),  , 2012年,  
66
CNTを用いたSTMによる低抵抗Siのナノ加工と原理の解明, 神戸健吾,松室昭仁,高木誠,岩田博之, 精密工学会大会学術講演会講演論文集, 2012,  871- 872, 2012年,  
67
シリコン基板上窒化物半導体の高品質化に関する研究—Growth of high quality 3-nitrides on silicon substance, 澤木 宣彦,岩田 博之,川北 将吾, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 13), 19- 22, 2011年09月,  
68
真空加熱下におけるAFMによるSi単結晶のナノ加工, 若山 大輔,高木 誠,松室 昭仁,岩田 博之, 精密工学会学術講演会講演論文集, 2011A,  355- 356, 2011年,  
69
C60強化Alナノコンポジット薄膜の作製及び評価, 伊藤亮太,松室昭仁,高木誠,岩田博之, 精密工学会大会学術講演会講演論文集, 2011,  517- 518, 2011年,  
70
MOVPE成長GaN/AlInN/(111)SiのTEM解析, 川北将吾,岩田博之,澤木宣彦,入江将嗣,本田善央,山口雅史,天野浩, 電気関係学会東海支部連合大会講演論文集(CD-ROM), 2011,   , 2011年,  
71
シリコン基板上窒化物半導体の高品質化に関する研究, 澤木宣彦,岩田博之,川北将吾,本田善央, 愛知工業大学総合技術研究所研究報告, ( 13),  , 2011年,  
72
SPMを用いたSi単結晶のナノ加工と表面の構造変化—Nanoprocessing on silicon (100) surface by using SPM and the study of the structural change, 高木 誠,松室 昭仁,岩田 博之, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 12), 63- 67, 2010年09月,  
73
SPMを用いたSi単結晶のナノ加工と表面の構造変化, 高木誠,松室昭仁,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 12),  , 2010年,  
74
MNM-3A-7 電圧印加に伴うナノスケール加工現象のTEM内その場観察(セッション 3A 単結晶・多結晶シリコンの疲労寿命評価とメカニズムの解明), 江間 弘崇,高木 誠,松室 昭仁,岩田 博之, マイクロ・ナノ工学シンポジウム, 2010.2,  155- 156, 2010年,  
75
AFMを用いた電圧印加によるナノ加工, 稲垣 貴生,高木 誠,松室 昭仁,岩田 博之, 精密工学会学術講演会講演論文集, 2009A,  817- 818, 2009年,  
76
CNTを用いたSTMによるSiウエハの高アスペクト比ナノ加工, 久米崇亮,松室昭仁,高木誠,岩田博之, 精密工学会大会学術講演会講演論文集, 2009,  815- 816, 2009年,  
77
単層カーボンナノチューブ探針の作製と高配向焼結グラファイトの加工—Manufacturing of single-wall carbon nanotube probe and fabrication of highly oriented pyrolytic graphite, 松室 昭仁,高木 誠,岩田 博之, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 10), 69- 74, 2008年10月,  
78
単層カーボンナノチューブ探針の作製と高配向焼結グラファイトの加工, 松室昭仁,高木誠,岩田博之,松本章宏,間野日出男, 愛知工業大学総合技術研究所研究報告, ( 10),  , 2008年,  
79
SPM加工現象のTEM内その場観察, 松本 達,高木 誠,松室 昭仁,岩田 博之, 精密工学会学術講演会講演論文集, 2008A,  715- 716, 2008年,  
80
水素ブリスタリングにおけるフッ素注入援用効果, 岩田 博之, 日本顕微鏡学会第61回学術講演会要旨集, 顕微鏡 Vol.40 Supplement 1, ,  462- , 2008年,  
81
極微小電流計測型ナノプローブその場観察システムの開発—New in-situ electrical-force characterizing system for TEM, 岩田 博之,奥田 東, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 9), 47- 52, 2007年08月,  
82
引き上げ法によるカーボンナノチューブ探針の簡易作製—Process of carbon nanotube probe by pulling method, 松室 昭仁,高木 誠,岩田 博之, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 9), 101- 106, 2007年08月,  
83
SPMを用いたSi単結晶のナノ加工と表面の構造変化, 吉田 浩也,松室 昭仁,岩田 博之,高木 誠, 精密工学会誌, 73( 10), 1149- 1153, 2007年,  
84
極微小電流計測型ナノプローブその場観察システムの開発, 岩田博之,奥田東, 愛知工業大学総合技術研究所研究報告, ( 9),  , 2007年,  
85
AFMを用いた引掻き加工に伴うSi単結晶の微構造変化, 岡田 猛,高木 誠,松室 明仁,岩田 博之,坂 公恭, 精密工学会学術講演会講演論文集, 2007A,  839- 840, 2007年,  
86
RBS/Cを用いた水素イオン注入欠陥の評価, 岩田博之,清水孝延,横井久人,石神龍哉,伊藤慶文,徳田豊,高木誠, 愛知工業大学総合技術研究所研究報告, ( 8), 77- 80, 2006年06月,  
87
SPMによる引掻試験でシリコン単結晶に生じた微構造変化のTEM観察, 岩田 博之, 総合技術研究所総合報告 8, ,  39- 41, 2006年,  
88
STMを用いた微細加工と構造変化-AFMを用いた引掻き摩擦との比較-, 吉田浩也,松室昭仁,岩田博之,高木誠, 日本金属学会講演概要, 139th,   , 2006年,  
89
SPMを用いたSi単結晶のナノ加工と表面変化, 吉田浩也,松室昭仁,岩田博之,高木誠, 精密工学会大会学術講演会講演論文集, 2006,  909- 910, 2006年,  
90
カーボンナノチューブ探針を用いた高アスペクト比ナノスケール穴加工法の開発, 松室昭仁,高木誠,岩田博之,松本章宏,間野日出男,井村徹, 愛知工業大学総合技術研究所研究報告, ( 8), 33- 37, 2006年,  
91
TiO2/SiO2 複合ナノ粒子の水熱合成とその光触媒性能, 平野 正典,太田 啓介,稲垣 道夫,岩田 博之, Journal of the Ceramic Society of Japan (日本セラミックス協会学術論文誌), 112( 1303), 143- 148, 2004年,  
92
低温水素イオン注入により導入されたシリコン結晶欠陥の特性分析, 岩田 博之,徳田 豊,高木 誠, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 5), 45- 49, 2003年06月,  
93
原子的構造解析システムの現状と課題, 岩田 博之,井村 徹, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 5), 97- 99, 2003年06月,  
94
シリコン単結晶のマイクロ摩耗特性と摩耗組織, 有馬 則和,高木 誠,岩田 博之,井村 徹,佐々木 勝寛,坂 公恭, 日本金属学会誌, 67( 6), 269- 273, 2003年06月,  
95
プラスチック用導電性充填剤としての研磨粉に関する研究(1)エポキシ樹脂への利用と磁場の効果, 吉川 俊夫,岩田 博之,中原 崇文, 愛知工業大学総合技術研究所研究報告 / 研究報告編集委員会 編, ( 5), 11- 17, 2003年06月,  
96
Microwear of Si Single Crystal: シリコン単結晶のマイクロ摩耗, , , ( 5), 51- 54, 2003年06月,  
97
低温水素イオン注入シリコンの欠陥構造分析, 徳田豊,岩田博之,高木誠,井村徹, 原研施設利用共同研究成果報告書, ( UTRCN-G-31), 26- 33, 2003年,  
98
Impurity Dependence of Exfoliation in Proton-implanted Silicon: プロトン剥離現象における不純物依存性, , , ( 4), 55- 58, 2002年06月,  
99
In-site Observation of Deformation Behavior of Pt-based Metallic Glass: Pt基金属ガラスの変形挙動のその場観察, , , ( 4), 31- 37, 2002年06月,  
100
Accelerated graphitization of exfoliated carbon fibers, Masahiro Toyoda,Yutaka Kaburagi,Akira Yoshida,Hiroyuki Iwata,Michio Inagaki, Carbon, 40( 4), 628- 629, 2002年04月,  
101
Si単結晶におけるマイクロトライボロジーの結晶方位依存性と組織, 有馬則和,高木誠,井村徹,岩田博之,佐々木勝寛,坂公恭, 日本金属学会講演概要, 131st,   , 2002年,  
102
低温での黒鉛結晶生成に対する鉄およびニッケルの役割, 稲垣道夫,今瀬智宏,岩田博之,藤田景子,金野英隆, 炭素, 2002( 201), 12- 15, 2002年,  
103
エポキシ樹脂充填剤としての研磨粉に関する研究 第1報 硬化による導電性の発達と磁場印加の効果, 吉川俊夫,岩田博之,中原崇文, ネットワークポリマー, 23( 2), 92- 100, 2002年,  
104
低温水素イオン注入n形シリコンに導入された欠陥の評価, 徳田豊,岩田博之,杉山隆英,石子雅康, 応用物理学関係連合講演会講演予稿集, 49th( 1),  , 2002年,  
105
Nanocrystallization of Zr55Al10Ni5Cu30 Bulk Metallic Glass Composites Containing ZrC Particles: ZrC粒子を含むZr55Al10Ni5Cu30バルク金属ガラス複合材料のナノ結晶化挙動, , , ( 3), 45- 49, 2001年06月,  
106
In-situ Heating Experiments of Proton-implanted Silicon: プロトン注入シリコンの加熱その場観察, , , ( 3), 55- 61, 2001年06月,  
107
ボロンイオン注入p形シリコンへの水素イオン注入アニール効果, 徳田豊,村瀬友基,岩田博之, 応用物理学会学術講演会講演予稿集, 62nd( 2),  , 2001年,  
108
水素イオン照射損傷の深さ分布が剥離現象の可否に与える影響, 岩田博之,高木誠,徳田豊,井村徹, 応用物理学会学術講演会講演予稿集, 62nd( 2),  , 2001年,  
109
フッ素化黒鉛の表面構造変化と電気化学的性質, 中島剛,GUPTA V,大沢善美,岩田博之,TRESSAUD A,DURAND E, 炭素材料学会年会要旨集, 28th,   , 2001年,  
110
Observation of Defect Distribution in H Ion Implanted Silicon: Hイオン注入されたシリコンの欠陥分布観察, , , ( 2), 33- 38, 2000年06月,  
111
435 H^+注入されたSiの加熱剥離挙動(O.S.9. 機能薄膜創成と物性), 岩田 博之,高木 誠,徳田 豊,井村 徹, 東海支部総会講演会講演論文集, 2000.49,  251- 252, 2000年,  
112
406 Pt基金属ガラスの高速超塑性(材料力学-機能材料), 曽我 嘉彦,高木 誠,井村 徹,岩田 博之,河村 能人,井上 明久, 東海支部総会講演会講演論文集, 2000.49,  193- 194, 2000年,  
113
高ドーズ水素イオン注入シリコンの物性とそのSOI製作への応用, 徳田豊,高木誠,岩田博之,大島久純,伊藤明, 愛知工業大学総合技術研究所研究報告, ( 1), 97- 107, 1999年06月,  
114
高強度を有する急速凝固P/Mアルミニウム合金の耐摩耗性, 高木誠,太田英伸,岩田博之,井村徹,河村能人, 愛知工業大学総合技術研究所研究報告, ( 1), 91- 96, 1999年06月,  
115
TEM Observation of the Platelets in Hydrogen Implanted Silicon: 水素イオン注入により発生したシリコン結晶内プレートレットのTEM観察, , , ( 1), 31- 35, 1999年06月,  
116
Zr基金属ガラスの超塑性変形と微構造, 高木誠,岩田博之,井村徹,河村能人, 愛知工業大学総合技術研究所研究報告, ( 1), 25- 29, 1999年06月,  
117
ナノ及び原子的尺度における微構造の変化の電子顕微鏡による解析, 井村徹,高木誠,岩田博之, 愛知工業大学総合技術研究所研究報告, ( 1), 7- 10, 1999年06月,  
118
過冷却液体領域を持つZr基金属ガラスの変形組織, 高木誠,岩田博之,井村徹,曽我嘉彦,河村能人,井上明久, 日本電子顕微鏡学会学術講演会発表要旨集, 55th,  89- , 1999年05月01日,  
119
水素イオン注入欠陥のその場加熱観察, 岩田 博之,高木 誠,徳田 豊,井村 徹, 電子顕微鏡, 34,  238- , 1999年05月01日,  
120
水素イオン注入欠陥層のプレートレット分布評価, 岩田博之,野村知代,高木誠,徳田豊,井村徹, 日本電子顕微鏡学会学術講演会発表要旨集, 55th,  111- , 1999年05月01日,  
121
Pt60Ni15P25金属ガラスの超塑性, 曽我嘉彦,高木誠,井村徹,岩田博之,河村能人,井上明久, 日本金属学会講演概要, 125th,   , 1999年,  
122
イオンビーム支援蒸着法により形成されたC-N系薄膜のトライボロジー特性, 太田英伸,松室昭仁,植谷政之,加藤芳正,高木誠,岩田博之,井村徹, 日本金属学会講演概要, 125th,   , 1999年,  
123
TEMによる水素イオン注入欠陥の分布評価, 岩田博之,高木誠,徳田豊,井村徹, 応用物理学会学術講演会講演予稿集, 60th( 1),  , 1999年,  
124
Zr基非晶質合金の超塑性と微構造, 曽我嘉彦,高木誠,井村徹,岩田博之,河村能人,井上明久, 日本機械学会東海支部総会講演会講演論文集, 48th,   , 1999年,  
125
非晶質合金の超塑性変形と微細組織 (文部省S), 高木誠,岩田博之,井村徹, 超塑性の新しい展開 平成10年度 No.08242102, ,   , 1999年,  
126
水素イオン注入によるSi結晶欠陥のTEM観察, 岩田博之,高木誠,徳田豊,井村徹, 応用物理学会学術講演会講演予稿集, 58th( 2),  , 1997年,  
127
ESDパルスの周波数スペクトラム測定法, 岩田博之,内田悦行,内山すすむ, 電子情報通信学会大会講演論文集, 1995( Sogo Pt 2), 282- , 1995年03月27日,  
128
導体板への接触放電電流の一推定法, 岩田博之,赤尾保男, 電子情報通信学会大会講演論文集, 1994( Shunki Pt 2),  , 1994年,  
129
間接ESDによる近傍電界特性, 岩田博之,赤尾保男, 電子情報通信学会大会講演論文集, 1993( Shunki Pt 2),  , 1993年,  
130
接触放電におけるESD電流の諸特性, 岩田博之,赤尾保男, 電子情報通信学会大会講演論文集, 1993( Shuki Pt 2),  , 1993年,  
131
非線形電子回路のカオス的ダブルスクロール・アトラクタのエルゴート性, 深谷義勝,鈴木郊宇,岩田博之,新美吉彦, 愛知工業大学研究報告 B 専門関係論文集, ( 28),  , 1993年,  
132
ESDによる電流,電磁界特性 (III), 岩田博之,赤尾保男, 電子情報通信学会大会講演論文集, 1992( Shunki Pt 2),  , 1992年,  
133
ESDによる電流,電磁界特性 (I), 岩田博之,赤尾保男, 電子情報通信学会大会講演論文集, 1991( Shuki Pt 2),  , 1991年,  

 

講演・口頭発表等
No.講演・口頭発表タイトル, 講演者, 会議名, 開催地, 発表年月日, 主催者, 
1
レーザピーニングされた半導体結晶の構造評価, 岩田博之, 沓名宗春, 坂公恭, 日本顕微鏡学会第71回講演会,国立京都国際会館,顕微鏡 50(2015), supplement1, 207, , , 2015年05月, , 
2
Defects and Surface Modifications in Nano-Fabrication Technique Using the Combination of High Dose Ion Implantation and Localized Heating, Hiroyuki Iwata, Hiroyasu Saka, Makoto Takagi, Yutaka Tokuda,, 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, C1-P-67, , 2015年03月, , 
3
「経年金相学—鈴木効果再訪—」, 坂公恭, 岩田博之, 2014 年度金属学会秋期大会名古屋大 学、534, , 2014年09月, , 
4
「室温における Si 単結晶の疲労」, 倉田和也, 高木誠, 岩田博之, 日影達夫, 坂公恭, 2014 年度 金属学会秋期大会、名古屋大学、518 (2014.9), , 2014年09月, , 
5
「Si 単結晶のマイクロトライボロジー」, 水流一平, 高木誠, 岩田博之, 坂公恭, 2014 年度金属学 会秋期大会、名古屋大学、519 , , 2014年09月, , 
6
「種々の環境下における Si 単結晶のマイ クロトライボロジー」, 水流一平, 高木誠, 松室昭仁, 岩田博之, 坂公恭, 、2014 年度精密工学会春期大会学術講演会、東京大学、pp.921-922, , 2014年03月, , 
7
「Si 単結晶の曲げおよび疲労挙動」, 倉田和也, 高木誠, 松室昭仁, 岩田博之, 坂公恭 ,  2014 年度精密工学会春期大会学術講演会、東京大学、pp.355-336, , 2014年03月, , 
8
Effect of FIB Assisted Hydrogen Blistering and Exfoliation, , Hiroyuki Iwata, Makoto Takagi, Yutaka Tokuda,, 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, p.100, , 2012年03月, , 
9
Increasing method of Hydrogen Exfoliation Area on the Surface of Silicon , Hiroyuki Iwata, Makoto Takagi, Yutaka Tokuda,, International Symposium onRole of Electron Microscopy in Industry, p.12, Nagoya, , 2012年01月, , 

 

共同研究・競争的資金等の研究課題
No.タイトル, 提供機関, 制度名, 研究期間, 担当研究者名 
1
照射損傷とレーザ局所加熱の相乗効果を用いた結晶欠陥の瞬時構造変成の基礎過程解明, 日本学術振興会, 科学研究費助成事業,  2022年04月 - 2025年03月, 岩田 博之 
2
レーザによるボイド生成の機構の解明, 日本学術振興会, 科学研究費助成事業,  2020年04月 - 2025年03月, 坂 公恭,岩田 博之 
3
多層SiC量子ドット形成とその物性評価, 日本学術振興会, 科学研究費助成事業,  2020年04月 - 2024年03月, 竹内 和歌奈,五島 敬史郎,岩田 博之 
4
表面精密剥離技術の開発, (財)科学技術交流財団, ,  2010年 - 2012年,  
5
Si基板上半極性GaNの高品質化に関する研究, 日本学術振興会, 科学研究費助成事業,  2010年 - 2012年, 澤木 宣彦,岩田 博之,本田 善央 
6
半導体表面のブリスタリングの動的特性解明とナノ加工への応用, 日本学術振興会, 科学研究費助成事業,  2005年 - 2008年, 岩田 博之 
7
半導体表面の水素ブリスタリングの動的特性解明とナノ加工への応用, 学術振興会, ,  2005年 - 2008年,  

 

産業財産権
No.産業財産権の名称, 出願人(機関), 出願番号, 出願日 
1
表面加工方法、構造体の製造方法, 学校法人 名古屋電気学園,浜松ホトニクス株式会社, 特願2016-156702, 2016年08月09日 
2
表面微細構造形成方法、構造体の製造方法, 学校法人 名古屋電気学園,浜松ホトニクス株式会社, 特願2016-156703, 2016年08月09日 
3
表面加工方法、構造体の製造方法, 学校法人 名古屋電気学園,浜松ホトニクス株式会社, 特願2016-156702, 2016年08月09日 
4
表面加工方法及び構造体の製造方法, 学校法人 名古屋電気学園,浜松ホトニクス株式会社, 特願2014-259558, 2014年12月23日 

 

連携可能な研究テーマ
No.タイトル, 年月 
1
電子顕微鏡等を用いたデバイス評価およびその場観察を主体とする関連評価手法の開発,  1989年07月01日 - 現在